We may earn an affiliate commission when you visit our partners.
Course image
Course image
edX logo

Introducción al diseño de hardware con Verilog

Eduardo Corpeño, Rodrigo Baessa, and Amilcar Véliz

En la actualidad, el hardware de soporte en sistemas digitales se suele diseñar en un solo circuito integrado FPGA (Field Programmable Gate Array), en parte debido al abundante espacio físico que ocuparía una implementación con varios circuitos integrados dedicados para este fin.

Read more

En la actualidad, el hardware de soporte en sistemas digitales se suele diseñar en un solo circuito integrado FPGA (Field Programmable Gate Array), en parte debido al abundante espacio físico que ocuparía una implementación con varios circuitos integrados dedicados para este fin.

Los FPGAs son circuitos integrados digitales que pueden implementar cualquier circuito digital que el usuario desee, dado que consisten de un arreglo de dispositivos digitales (compuertas, flip-flops, etc.), una red de interconexiones y una memoria capaz de controlar las conexiones entre los dispositivos finales.

La programación de un FPGA comienza con un Lenguaje de Descripción de Hardware (HDL), siendo Verilog uno de los dominantes en la industria.

En este curso en línea conocerás varios aspectos básicos de Verilog, tales como su sintaxis, el paradigma concurrente de programación de hardware y simulación básica, entre otros.

What's inside

Learning objectives

  • Lenguajes de descripción de hardware
  • Modelación de hardware simple a intermedio en verilog
  • Simulación de modelos de verilog

Syllabus

Circuitos Digitales ASIC y FPGA
Lenguajes de Descripción de Hardware
Módulos Descriptivos y de Estímulo
Simulación de sistemas digitales
Read more
Temas:
Diseño Modular
Suma Binaria
Medio Sumador
Sumador Completo
Sumador de 4 bits.
Contadores.
Monitoreo.
Despliegue de Variables y Registros de Corrimiento.
Semana 1 - Introducción a VerilogIntroducción a la tecnología FPGA y su uso en la actualidad, Lenguajes de Descripción de Hardware. Modulos Descriptivos y de Estímulo de Verilog. Temas:
Semana 2 - Diseño ModularEn este punto se instanciarán módulos previamente escritos para crear sistemas de una manera modular.
Semana 3 - Formas Alternas de DescripciónDescripción por módulos primitivos, expresiones Booleanas, y bloques procedimentales en Verilog para crear hardware digital.
● Multiplexores.● Módulos primitivos.● Bloques de procedimiento.● Expresiones Booleanas.
Semana 4 - Lógica SecuencialDiseño de sistemas secuenciales. Para esto, es importante conocer el funcionamiento de los diversos tipos de Flip Flops, que son los bloques básicos de sistemas secuenciales.
Temas:● Lógica Secuencial● Flip Flops (Latch SR sin reloj, con reloj y Flip Flop JK)
Semana 5 - Registros, Contadores y DespliegueDiseño de sistemas secuenciales tales como Contadores, Registros de corrimiento, y máquinas de estados, además de reportar valores en la consola.

Good to know

Know what's good
, what to watch for
, and possible dealbreakers
Suits both developers wanting to learn HDL and those who wish to dive into FPGAs
Taught by recognized and experienced academics in the field of digital systems
Delves into Verilog syntax, particularly vital for modeling hardware
Provides hands-on experience in simulating models
May be too basic for experienced hardware engineers looking for more advanced FPGA topics
Assumes some prior knowledge in digital systems and circuits

Save this course

Save Introducción al diseño de hardware con Verilog to your list so you can find it easily later:
Save

Activities

Be better prepared before your course. Deepen your understanding during and after it. Supplement your coursework and achieve mastery of the topics covered in Introducción al diseño de hardware con Verilog with these activities:
Refrescar el concepto de FPGAs
Comprender los FPGAs y su importancia en el diseño de hardware digital mejorará la base para el curso.
Browse courses on FPGA
Show steps
  • Revisar notas o materiales de cursos anteriores sobre FPGAs
  • Explorar recursos en línea como tutoriales y artículos
  • Practicar ejemplos sencillos de diseño de FPGA con herramientas en línea
Tutoriales guiados sobre lenguaje Verilog
Dominar la sintaxis y los conceptos básicos de Verilog acelerará el progreso en el curso.
Browse courses on Verilog
Show steps
  • Identificar tutoriales en línea o plataformas como edX y Coursera
  • Seguir los pasos del tutorial, escribiendo código y resolviendo ejercicios
  • Resolver ejercicios adicionales para practicar
Tutoriales sobre el lenguaje Verilog
Seguir tutoriales guiados sobre Verilog lo ayudará a aprender los conceptos básicos del lenguaje y mejorar sus habilidades de programación.
Browse courses on Verilog
Show steps
  • Encontrar tutoriales en línea o recursos gratuitos
  • Seguir los tutoriales paso a paso y completar los ejercicios
  • Crear pequeños proyectos Verilog para practicar
Four other activities
Expand to see all activities and additional details
Show all seven activities
Participar en un grupo de estudio de Verilog
Participar en un grupo de estudio de Verilog le permitirá colaborar con otros estudiantes, compartir conocimientos y aprender de diferentes perspectivas.
Browse courses on Verilog
Show steps
  • Encontrar o formar un grupo de estudio con compañeros de clase
  • Establecer un horario regular para reunirse y discutir el material del curso
  • Compartir notas, recursos y preguntas
  • Hacer ejercicios de práctica y revisiones juntos
Crear un resumen del diseño de un contador en Verilog
Crear un resumen de diseño de un contador en Verilog reforzará su comprensión de los principios de diseño y programación de contadores.
Browse courses on Verilog
Show steps
  • Elegir un tipo de contador (por ejemplo, contador ascendente, descendente o binario)
  • Diseñar la lógica del contador en Verilog
  • Escribir un resumen que explique la lógica del diseño y su implementación en Verilog
Construir un pequeño proyecto de FPGA utilizando Verilog
Construir un pequeño proyecto de FPGA utilizando Verilog le permitirá aplicar sus conocimientos y habilidades en un entorno del mundo real.
Browse courses on Verilog
Show steps
  • Elegir un proyecto simple (por ejemplo, un reloj digital o un semáforo)
  • Diseñar el circuito en Verilog y sintetizarlo en un FPGA
  • Construir el circuito en una placa de desarrollo FPGA
  • Probar y depurar el circuito
Participar en una competencia de diseño de FPGA
Participar en una competencia de diseño de FPGA lo desafiará a aplicar sus habilidades y conocimientos en un entorno competitivo y le permitirá aprender de otros diseñadores.
Browse courses on FPGA
Show steps
  • Encontrar una competencia adecuada y registrarse
  • Diseñar y desarrollar un proyecto de FPGA innovador
  • Presentar el proyecto a un panel de jueces
  • Recibir comentarios y aprender de otros competidores

Career center

Learners who complete Introducción al diseño de hardware con Verilog will develop knowledge and skills that may be useful to these careers:
Ingeniero de hardware
Un Ingeniero de hardware diseña, desarrolla, prueba e implementa sistemas de hardware informático. Este curso te proporcionará los conocimientos y habilidades necesarios para modelar hardware digital utilizando Verilog, lo que es esencial para el diseño de sistemas de hardware complejos. Podrás aplicar estos conocimientos a una variedad de roles de ingeniería de hardware, como el diseño de circuitos integrados y sistemas embebidos.
Diseñador de FPGA
Un Diseñador de FPGA diseña e implementa sistemas digitales utilizando FPGAs. Este curso te permitirá comprender los fundamentos del diseño de FPGA y te proporcionará las habilidades para modelar y simular sistemas digitales utilizando Verilog. Esto te permitirá diseñar e implementar sistemas FPGA eficientes y fiables para una amplia gama de aplicaciones.
Ingeniero de diseño de VLSI
Un Ingeniero de diseño de VLSI diseña y desarrolla circuitos integrados a gran escala (VLSI). Este curso te ayudará a comprender los fundamentos del diseño de VLSI y te proporcionará las habilidades para modelar y simular sistemas digitales utilizando Verilog. Esto te permitirá diseñar e implementar circuitos VLSI complejos y eficientes para una amplia gama de aplicaciones.
Ingeniero de sistemas embebidos
Un Ingeniero de sistemas embebidos diseña, desarrolla e implementa sistemas informáticos integrados. Este curso te proporcionará los conocimientos y habilidades necesarios para modelar hardware digital utilizando Verilog, lo que es esencial para el diseño de sistemas embebidos complejos. Podrás aplicar estos conocimientos a una variedad de roles de ingeniería de sistemas embebidos, como el diseño de dispositivos médicos y sistemas de automoción.
Ingeniero de verificación y validación
Un Ingeniero de verificación y validación verifica y valida el diseño de sistemas de hardware y software. Este curso te proporcionará los conocimientos y habilidades necesarios para modelar y simular sistemas digitales utilizando Verilog, lo que es esencial para la verificación y validación de sistemas complejos. Podrás aplicar estos conocimientos a una variedad de roles de ingeniería de verificación y validación, como la verificación de circuitos integrados y sistemas embebidos.
Ingeniero de pruebas
Un Ingeniero de pruebas prueba y depura sistemas de hardware y software. Este curso te proporcionará los conocimientos y habilidades necesarios para modelar y simular sistemas digitales utilizando Verilog, lo que es esencial para las pruebas y la depuración de sistemas complejos. Podrás aplicar estos conocimientos a una variedad de roles de ingeniería de pruebas, como las pruebas de circuitos integrados y sistemas embebidos.
Diseñador de sistemas digitales
Un Diseñador de sistemas digitales diseña e implementa sistemas digitales utilizando hardware y software. Este curso te proporcionará los conocimientos y habilidades necesarios para modelar hardware digital utilizando Verilog, lo que es esencial para el diseño de sistemas digitales complejos. Podrás aplicar estos conocimientos a una variedad de roles de diseño de sistemas digitales, como el diseño de sistemas de comunicaciones y sistemas de procesamiento de señales.
Profesor de ingeniería
Un Profesor de ingeniería enseña ingeniería a estudiantes universitarios. Este curso te proporcionará los conocimientos y habilidades necesarios para modelar hardware digital utilizando Verilog, lo que es esencial para enseñar el diseño de sistemas digitales. Podrás utilizar estos conocimientos para desarrollar y enseñar cursos sobre diseño de hardware digital, diseño de FPGA y diseño de VLSI.
Ingeniero de investigación y desarrollo
Un Ingeniero de investigación y desarrollo investiga y desarrolla nuevas tecnologías y productos. Este curso te proporcionará los conocimientos y habilidades necesarios para modelar hardware digital utilizando Verilog, lo que es esencial para la investigación y el desarrollo de sistemas digitales complejos. Podrás aplicar estos conocimientos a una variedad de roles de investigación y desarrollo, como la investigación de nuevos materiales y algoritmos.
Ingeniero de Software
Un Ingeniero de software diseña, desarrolla e implementa software. Este curso te proporcionará una base en el diseño de hardware digital utilizando Verilog, lo que puede ser útil para comprender el funcionamiento del hardware de las computadoras. Podrás aplicar estos conocimientos a una variedad de roles de ingeniería de software, como el desarrollo de sistemas operativos y aplicaciones.
Científico informático
Un Científico informático investiga y desarrolla los fundamentos teóricos de la informática. Este curso te proporcionará una base en el diseño de hardware digital utilizando Verilog, lo que puede ser útil para comprender los fundamentos del funcionamiento de las computadoras. Podrás aplicar estos conocimientos a una variedad de roles de investigación en informática, como la investigación de nuevos algoritmos y arquitecturas de computadoras.
Administrador de sistemas de redes
Un Administrador de sistemas de redes administra redes de computadoras. Este curso te proporcionará una base en el diseño de hardware digital utilizando Verilog, lo que puede ser útil para comprender el funcionamiento del hardware de redes. Podrás aplicar estos conocimientos a una variedad de roles de administración de sistemas de redes, como la administración y el mantenimiento de redes de área local (LAN) y redes de área amplia (WAN).
Analista de Sistemas
Un Analista de sistemas analiza y diseña sistemas de información. Este curso te proporcionará una base en el diseño de hardware digital utilizando Verilog, lo que puede ser útil para comprender los requisitos de hardware de los sistemas de información. Podrás aplicar estos conocimientos a una variedad de roles de analista de sistemas, como el análisis y diseño de sistemas de gestión de bases de datos y sistemas de procesamiento de transacciones.
Experto en soporte técnico
Un Experto en soporte técnico proporciona soporte técnico a los usuarios de computadoras. Este curso te proporcionará una base en el diseño de hardware digital utilizando Verilog, lo que puede ser útil para comprender los problemas de hardware de las computadoras. Podrás aplicar estos conocimientos a una variedad de roles de soporte técnico, como brindar soporte a usuarios de computadoras y solucionar problemas de hardware.
Diseñador de páginas web
Un Diseñador de páginas web diseña y desarrolla páginas web. Este curso te proporcionará una base en el diseño de hardware digital utilizando Verilog, lo que puede ser útil para comprender los fundamentos del funcionamiento de Internet. Podrás aplicar estos conocimientos a una variedad de roles de diseño de páginas web, como el diseño y desarrollo de sitios web y aplicaciones web.

Reading list

We've selected 14 books that we think will supplement your learning. Use these to develop background knowledge, enrich your coursework, and gain a deeper understanding of the topics covered in Introducción al diseño de hardware con Verilog.
Provides a comprehensive overview of Verilog HDL, covering its syntax, semantics, and design methodologies. It is useful for learners who want to gain a deeper understanding of the language and its applications in digital design.
Provides a thorough introduction to digital design and Verilog HDL. It covers basic concepts such as combinational and sequential logic, as well as more advanced topics like state machines and microprocessors.
Offers a practical approach to learning Verilog HDL through numerous examples and exercises. It is suitable for beginners who want to quickly grasp the fundamentals of the language.
While this book focuses on SystemVerilog, it can provide valuable insights into advanced Verilog concepts and methodologies. It is recommended for learners who want to explore more advanced topics in hardware design and verification.
Provides a structured approach to troubleshooting digital systems, which can be helpful for learners who want to develop practical skills in debugging and testing hardware designs.
This classic textbook covers the fundamentals of digital logic and computer design, providing a solid foundation for understanding the concepts behind hardware design.
Provides practical examples of FPGA prototyping using Verilog HDL and Xilinx Spartan-3 boards. It is suitable for learners who want to gain hands-on experience in implementing digital designs on FPGAs.
Este libro proporciona una introducción a los sistemas digitales, cubriendo conceptos básicos como lógica combinacional, lógica secuencial y diseño de sistemas. Se recomienda para estudiantes que buscan una perspectiva más amplia de los sistemas digitales.
Este libro cubre los conceptos de diseño FPGA utilizando tanto VHDL como Verilog HDL. Aunque no se enfoca exclusivamente en Verilog, puede proporcionar información valiosa sobre las metodologías de diseño FPGA.
Este libro está orientado a usuarios avanzados y proporciona una referencia exhaustiva sobre la interfaz de lenguaje de programación (PLI) de Verilog. No es esencial para el curso, pero puede ser útil para quienes desean profundizar en las capacidades avanzadas de Verilog.
Este libro ofrece una introducción a los fundamentos de la programación HDL, que incluye Verilog HDL. Proporciona una base conceptual para los estudiantes que recién comienzan con el diseño de hardware.
Este libro proporciona una cobertura amplia del diseño digital, que incluye tanto la teoría como la práctica. Si bien no se enfoca en Verilog HDL, puede ser un recurso valioso para comprender los conceptos subyacentes del diseño de hardware.
Este libro adopta un enfoque más avanzado del diseño de hardware, cubriendo temas como diseño de VLSI y sistemas integrados. Si bien no es esencial para el curso, puede ser una lectura complementaria valiosa para aquellos interesados en profundizar en el diseño de hardware a nivel de sistema.

Share

Help others find this course page by sharing it with your friends and followers:

Similar courses

Here are nine courses similar to Introducción al diseño de hardware con Verilog.
Electrónica Digital Bit a Bit: Fundamentos, Verilog y FPGA
Most relevant
Sistemas Digitales: De las puertas lógicas al procesador
Most relevant
Electrónica Digital Bit a Bit: Diseñando en Verilog para...
Most relevant
Circuitos con SPICE: Fundamentos de los circuitos...
Most relevant
Circuitos con SPICE: Sistemas trifásicos y análisis...
Most relevant
Circuitos con SPICE: Análisis en el tiempo y la frecuencia
Most relevant
Cómo entrenar a tus electrones 2: Diodos y Transistores
Most relevant
Introducción a los circuitos eléctricos
Most relevant
Cómo entrenar a tus electrones 3: Aplicaciones...
Most relevant
Our mission

OpenCourser helps millions of learners each year. People visit us to learn workspace skills, ace their exams, and nurture their curiosity.

Our extensive catalog contains over 50,000 courses and twice as many books. Browse by search, by topic, or even by career interests. We'll match you to the right resources quickly.

Find this site helpful? Tell a friend about us.

Affiliate disclosure

We're supported by our community of learners. When you purchase or subscribe to courses and programs or purchase books, we may earn a commission from our partners.

Your purchases help us maintain our catalog and keep our servers humming without ads.

Thank you for supporting OpenCourser.

© 2016 - 2024 OpenCourser